S. W. Chen and Y. H. Chen, Hardware Design and Implementation of a Wavelet De-Noising Procedure for Medical Signal Preprocessing, Sensors, vol.15, issue.10, pp.26396-26414, 2015.
DOI : 10.3390/s151026396

M. Chiesi, L. Vanzolini, C. Mucci, E. F. Scarselli, and R. Guerrieri, Power-Aware Job Scheduling on Heterogeneous Multicore Architectures, IEEE Transactions on Parallel and Distributed Systems, vol.26, issue.3, pp.868-877
DOI : 10.1109/TPDS.2014.2315203

D. S. Kim and J. Kwon, Moving Object Detection on a Vehicle Mounted Back-Up Camera, Sensors, vol.16, issue.1, 2016.
DOI : 10.3390/s16010023

URL : http://doi.org/10.3390/s16010023

C. Li, V. Brost, Y. Benezeth, F. Marzani, and F. Yang, Design and evaluation of a parallel and optimized light-tissue interaction-based method for fast skin lesion assessment. J. Real-Time Image Process, pp.10-1007, 2015.
URL : https://hal.archives-ouvertes.fr/hal-01145250

F. Nombela, E. García, R. Mateos, and A. Hernández, Efficient Implementation of a Symbol Timing Estimator for Broadband PLC, Sensors, vol.15, issue.8, pp.20825-20844, 2015.
DOI : 10.3390/s150820825

Ó. Oballe-peinado, F. Vidal-verdú, J. A. Sánchez-durán, J. Castellanos-ramos, and J. A. Hidalgo-lópez, Smart Capture Modules for Direct Sensor-to-FPGA Interfaces, Sensors, vol.15, issue.12, pp.31762-31780, 2015.
DOI : 10.3390/s151229878

Z. Yonghong, An NProd Algorithm IP Design for Real-Time Image Matching Application onto FPGA, 2010 International Conference on Electrical and Control Engineering, pp.25-27, 2010.
DOI : 10.1109/iCECE.2010.105

T. Komuro, T. Tabata, and M. Ishikawa, A Reconfigurable Embedded System for 1000 f/s Real-Time Vision, IEEE Transactions on Circuits and Systems for Video Technology, vol.20, issue.4, pp.496-504, 2010.
DOI : 10.1109/TCSVT.2009.2035832

D. Zou, Y. Dou, and F. Xia, Optimization schemes and performance evaluation of Smith-Waterman algorithm on CPU, GPU and FPGA, Concurrency and Computation: Practice and Experience, vol.1, issue.2, pp.1625-1644, 2012.
DOI : 10.1002/cpe.1913

G. Akbarizadeh, A New Statistical-Based Kurtosis Wavelet Energy Feature for Texture Recognition of SAR Images, IEEE Transactions on Geoscience and Remote Sensing, vol.50, issue.11, pp.4358-4368, 2012.
DOI : 10.1109/TGRS.2012.2194787

H. Hichri, Y. Bazi, N. Alajlan, and S. Malek, Interactive Segmentation for Change Detection in Multispectral Remote-Sensing Images, IEEE Geoscience and Remote Sensing Letters, vol.10, issue.2, pp.298-302, 2013.
DOI : 10.1109/LGRS.2012.2204953

Y. Huang and Y. C. Huang, Segmenting SAR Satellite Images With the Multilayer Level Set Approach, IEEE Journal of Selected Topics in Applied Earth Observations and Remote Sensing, vol.4, issue.3, pp.632-642, 2011.
DOI : 10.1109/JSTARS.2011.2158390

S. Balla-arabe, X. Gao, B. Wang, F. Yang, and V. Brost, Multi-Kernel Implicit Curve Evolution for Selected Texture Region Segmentation in VHR Satellite Images, IEEE Transactions on Geoscience and Remote Sensing, vol.52, issue.8, pp.5183-5192, 2014.
DOI : 10.1109/TGRS.2013.2287239

Y. Zhao, Lattice Boltzmann based PDE solver on the GPU, The Visual Computer, vol.13, issue.1, pp.323-333, 2008.
DOI : 10.1007/s00371-007-0191-y

M. Kass, A. Witkin, and D. Terzopoulos, Snakes: Active contour models, International Journal of Computer Vision, vol.5, issue.6035, pp.321-331, 1988.
DOI : 10.1007/BF00133570

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.124.5318

C. Samson, L. Blanc-féraud, G. Aubert, and J. Zerubia, A Level Set Model for Image Classification, Int. J. Comput. Vis, vol.40, pp.187-197, 2000.
DOI : 10.1007/3-540-48236-9_27

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.26.9244

K. Karantzalos and D. Argialas, A Region-based Level Set Segmentation for Automatic Detection of Man-made Objects from Aerial and Satellite Images, Photogrammetric Engineering & Remote Sensing, vol.75, issue.6, pp.667-677, 2009.
DOI : 10.14358/PERS.75.6.667

E. J. Ball and L. M. Bruce, Level Set Hyperspectral Image Classification Using Best Band Analysis, IEEE Transactions on Geoscience and Remote Sensing, vol.45, issue.10, pp.3022-3027, 2007.
DOI : 10.1109/TGRS.2007.905629

Y. Chen, Z. Yan, and Y. Chu, Cellular Automata based Level Set Method for Image Segmentation, 2007 IEEE/ICME International Conference on Complex Medical Engineering, pp.23-27, 2007.
DOI : 10.1109/ICCME.2007.4381715

X. He and L. S. Luo, Lattice Boltzmann Model for the Incompressible Navier???Stokes Equation, Journal of Statistical Physics, vol.88, issue.3/4, pp.927-944, 1997.
DOI : 10.1023/B:JOSS.0000015179.12689.e4

S. Sauro, The Lattice Boltzmann Equation for Fluid Dynamics and Beyond, 2001.

S. Balla-arabe, C. Li, V. Brost, and F. Yang, Fuzzy selecting local region level set algorithm, 2015 23rd European Signal Processing Conference (EUSIPCO), p.31, 2015.
DOI : 10.1109/EUSIPCO.2015.7362696

J. Cong, B. Liu, S. Neuendorffer, J. Noguera, K. Vissers et al., High-Level Synthesis for FPGAs: From Prototyping to Deployment, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.30, issue.4, pp.473-491, 2011.
DOI : 10.1109/TCAD.2011.2110592

URL : http://ballade.cs.ucla.edu/%7Econg/papers/j-83.pdf

C. Colodro-conde, F. J. Toledo-moreo, R. Toledo-moreo, J. J. Martínez-Álvarez, J. Garrigós-guerrero et al., A practical evaluation of the performance of the Impulse CoDeveloper HLS tool for implementing large-kernel 2-D filters, Journal of Real-Time Image Processing, vol.40, issue.10, pp.263-279
DOI : 10.1007/s11554-013-0374-x

C. Li, S. Balla-arabé, and F. Yang, Embedded multi-spectral image processing for real-time medical application, Journal of Systems Architecture, vol.64
DOI : 10.1016/j.sysarc.2015.12.002

URL : https://hal.archives-ouvertes.fr/hal-01431233

A. Prost-boucle, O. Muller, and F. Rousseau, Fast and standalone Design Space Exploration for High-Level Synthesis under resource constraints, Journal of Systems Architecture, vol.60, issue.1, pp.79-93, 2014.
DOI : 10.1016/j.sysarc.2013.10.002

URL : https://hal.archives-ouvertes.fr/hal-00914536

M. A. Vega-rodríguez, Methodologies and tools for the design space exploration of embedded systems, Journal of Systems Architecture, vol.60, issue.1, pp.53-54, 2014.
DOI : 10.1016/j.sysarc.2013.12.001

J. M. Buick and C. A. Greated, Gravity in a lattice Boltzmann model, Physical Review E, vol.61, issue.5, pp.5307-5320, 2000.
DOI : 10.1103/PhysRevE.61.5307

K. Wakabayashi, C-based behavioral synthesis and verification analysis on industrial design examples, Proceedings of the 2004 Asia and South Pacific Design Automation Conference, pp.27-30, 2004.
DOI : 10.1109/iscas.2005.1465989

J. Villarreal, A. Park, W. Najjar, and R. Halstead, Designing Modular Hardware Accelerators in C with ROCCC 2.0, 2010 18th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, pp.2-4, 2010.
DOI : 10.1109/FCCM.2010.28

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.456.5615

W. Meeus, K. Van-beeck, T. Goedemé, J. Meel, and D. Stroobandt, An overview of today???s high-level synthesis tools, Design Automation for Embedded Systems, vol.26, issue.4, pp.31-51, 2012.
DOI : 10.1007/s10617-012-9096-8

K. Rupnow, Y. Liang, Y. Li, D. Min, M. Do et al., High level synthesis of stereo matching: Productivity, performance, and software constraints, 2011 International Conference on Field-Programmable Technology, pp.12-14, 2011.
DOI : 10.1109/FPT.2011.6132716

Y. Liang, K. Rupnow, Y. Li, D. Min, M. N. Do et al., High-Level Synthesis: Productivity, Performance, and Software Constraints, Journal of Electrical and Computer Engineering, vol.22, issue.7, pp.10-1155, 2012.
DOI : 10.1109/TCSVT.2009.2026831

URL : http://doi.org/10.1155/2012/649057

D. G. Bailey and C. T. Johnston, Algorithm Transformation for FPGA Implementation, 2010 Fifth IEEE International Symposium on Electronic Design, Test & Applications, pp.13-15, 2010.
DOI : 10.1109/DELTA.2010.17

J. Cong, B. Liu, R. Prabhakar, and P. Zhang, A Study on the Impact of Compiler Optimizations on High-Level Synthesis, Languages and Compilers for Parallel Computing, pp.143-157, 2013.
DOI : 10.1007/978-3-642-37658-0_10

Q. Huang, R. Lian, A. Canis, J. Choi, R. Xi et al., The Effect of Compiler Optimizations on High-Level Synthesis-Generated Hardware, ACM Transactions on Reconfigurable Technology and Systems, vol.8, issue.3, pp.1-1426, 2015.
DOI : 10.1145/2629547

J. M. Andión, M. Arenaz, G. Rodríguez, and G. Touriño, A novel compiler support for automatic parallelization on multicore systems, Parallel Computing, vol.39, issue.9, pp.442-460, 2013.
DOI : 10.1016/j.parco.2013.04.003

E. Homsirikamol and K. Gaj, Can high-level synthesis compete against a hand-written code in the cryptographic domain? A case study, 2014 International Conference on ReConFigurable Computing and FPGAs (ReConFig14), pp.1-8, 2014.
DOI : 10.1109/ReConFig.2014.7032504